Skip to main content

Genetic Programmingwith Reuse of Known Designs for Industrially Scalable, Novel Circuit Design

  • Chapter

Part of the book series: Genetic and Evolutionary Computation Series ((GEVO))

This paper shows how aggressive reuse of known designs brings orders-ofmagnitude reduction in computational effort, and simultaneously resolves trust issues for synthesized designs, for genetic programming applied to automated structural design. Furthermore, it uses trustworthiness tradeoffs to handle addition of novelty in a trackable fashion. It uses a multi-objective algorithm with an age-layered population structure to avoid premature convergence. While the application here is analog circuit design, the methodology is general enough for many other problem domains.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  • Antao, B.A.A. and Brodersen, A.J. 1995. Archgen: Automated synthesis of analog systems. IEEE Transactions on Very Large Scale Integrated Circuits, 3 (2):231-244.

    Article  Google Scholar 

  • Ashenden, Peter J., Peterson, Gregory D., and Teegarden, Darrell A. (2002). The System Designer’s Guide to VHDL-AMS. Morgan Kaufmann.

    Google Scholar 

  • Becker, Ying, Fei, Peng, and Lester, Anna M. 2006. Stock selection : An innovative application of genetic programming methodology. In Riolo, Rick L., Soule, Terence, and Worzel, Bill, editors, Genetic Programming Theory and Practice IV, volume 5 of Genetic and Evolutionary Computation, chapter 12, Springer, Ann Arbor.

    Google Scholar 

  • Berkcan, E., d’Abreu, M., and Laughton, W. (1988). Analog compilation based on successive decompositions. In Design Automation Conference, pages 369-375.

    Google Scholar 

  • Bernardinis, F. De, Nuzzo, P., and Sangiovanni-Vincentelli, A.L. (2005). Mixed signal design space exploration through analog platforms. In Design Automation Conference, pages 875-880.

    Google Scholar 

  • Castillo, Flor, Kordon, Arthur, Sweeney, Jeff, and Zirk, Wayne 2004. Using genetic programming in industrial statistical model building. In O’Reilly, Una-May, Yu, Tina, Riolo, Rick L., and Worzel, Bill, editors, Genetic Programming Theory and Practice II, chapter 3, pages 31-48. Springer, Ann Arbor.

    Google Scholar 

  • Chang, Henry (1997). A Top Down, Constraint Driven Design Methodology for Analog Integrated Circuits. Kluwer.

    Google Scholar 

  • Dastidar, T.R. and Chakrabarti, P.P. 2005. A synthesis system for analog circuits based on evolutionary search and topological reuse. IEEE Transactions on Evolutionary Computation, 9(2):2005.

    Google Scholar 

  • Deb, K., Pratap, A., Agarwal, S., and Meyarivan, T. 2002. A fast and elitist multi-objective genetic algorithm: Nsga-ii. IEEE Transactions on Evolutionary Computation, 6(2):182-197.

    Article  Google Scholar 

  • Ding, Mengmeng and Vemuri, Ranga (2005). A combined feasibility and performance macromodel for analog circuits. In Design Automation Conference, pages 63-68.

    Google Scholar 

  • Doboli, Alex and Vemuri, Ranga (2003). Exploration-based high-level synthesis of linear analog systems operating at low/medium frequencies. IEEE Transactions on Computer-Aided Design, 22(11).

    Google Scholar 

  • E1-Turky, F.M. and Nordin, R.A. (1986). Blades: An expert system for analog circuit design. In International Conference on Circuits and Systems, pages 552-555.

    Google Scholar 

  • Eeckelaert, Tom, McConaghy, Trent, and Gielen, Georges G. E. (2005). Efficient multiobjective synthesis of analog circuits using hierarchical paretoÂ-optimal performance hypersurfaces. In Design Automation and Test Europe.

    Google Scholar 

  • Eeckelaert, Tom, Schoofs, Raf, Gielen, Georges G. E., and Steyaert, Michiel (2007). An efficient methodology for hierarchical synthesis of mixed-signal systems with fully integrated building block topology selection. In Design Automation and Test Europe.

    Google Scholar 

  • Friedman, Jerome H. 1991. Multivariate adaptive regression splines. Annals of Statistics, 19(1-141).

    Google Scholar 

  • Goldberg, David E. (2002). The Design of Innovation. Springer.

    Google Scholar 

  • Harjani, R., Rutenbar, R., and Carley, L. 1992. Oasys: A framework for analog circuit synthesis. IEEE Transactions on Computer-Aided Design, 8 (12):1247-1266.

    Google Scholar 

  • Hornby, Gregory S. 2006. ALPS: the age-layered population structure for reducing the problem of premature convergence. In Keijzer, Maarten, Cattolico, Mike, Arnold, Dirk, Babovic, Vladan, Blum, Christian, Bosman, Peter, Butz, Martin V., Coello Coello, Carlos, Dasgupta, Dipankar, Ficici, Sevan G., Foster, James, Hernandez-Aguirre, Arturo, Hornby, Greg, Lipson, Hod, McMinn, Phil, Moore, Jason, Raidl, Guenther, Rothlauf, Franz, Ryan, Conor, and Thierens, Dirk, editors, GECCO 2006: Proceedings of the 8th annual conference on Genetic and evolutionary computation, volume 1, pages 815-822, Seattle, Washington, USA. ACM Press.

    Google Scholar 

  • Hornby, Gregory Scott 2003. Generative Representations for Evolutionary Design Automation. PhD thesis, Brandeis University, Dept. of Computer Science, Boston, MA, USA.

    Google Scholar 

  • Hu, Jianjun and Goodman, Erik 2004. Topological synthesis of robust dynamic systems by sustainable genetic programming. In O’Reilly, Una-May, Yu, Tina, Riolo, Rick L., and Worzel, Bill, editors, Genetic Programming Theory and Practice II, chapter 9. Springer, Ann Arbor. pages missing.

    Google Scholar 

  • Hu, Jianjun, Goodman, Erik, Seo, Kisung, Fan, Zhun, and Rosenberg, Rondal 2005. The hierarchical fair competition framework for sustainable evolutionary algorithms. Evolutionary Computation, 13(2):241-277.

    Article  Google Scholar 

  • Huynen, M.A., Stadler, P., and Fontana, W. 1996. Smoothness within ruggedness: The role of neutrality in adaptation. National Academy of Sciences USA, 93:397-401.

    Article  Google Scholar 

  • ITRS (2007). International technology roadmap for semiconductors.

    Google Scholar 

  • Kampe, Jurgen (2000). A new approach for the structural synthesis of analog subsystems. In International Workshop on Symbolic Methods and Applications in Circuit Design, pages 33-38.

    Google Scholar 

  • Keijzer, Maarten 2004. Scaled symbolic regression. Genetic Programming and Evolvable Machines, 5(3):259-269.

    Article  Google Scholar 

  • Keijzer, Maarten (2005). Run transferable libraries. In Riolo, Rick L. and Worzel, Bill, editors, Genetic Programming Theory and Practice III. Kluwer.

    Google Scholar 

  • Koh, H.Y., S équin, C.H., and Gray, Paul. R. 1990. Opasyn: A compiler for cmos operational amplifiers. IEEE Transactions on Computer-Aided Design, 9:113-125.

    Article  Google Scholar 

  • Korns, Michael F. 2006. Large-scale, time-constrained symbolic regression. In Riolo, Rick L., Soule, Terence, and Worzel, Bill, editors, Genetic Programming Theory and Practice IV, volume 5 of Genetic and Evolutionary Computation, chapter 16. Springer, Ann Arbor.

    Google Scholar 

  • Koza, John R. 1992. Genetic Programming: On the Programming of Computers by Means of Natural Selection. MIT Press, Cambridge, MA, USA.

    MATH  Google Scholar 

  • Koza, John R., Andre, David, Bennett III, Forrest H, and Keane, Martin (1999). Genetic Programming 3: Darwinian Invention and Problem Solving. Morgan Kaufman.

    Google Scholar 

  • Koza, John R., Jones, Lee W., Keane, Martin A., and Streeter, Matthew J. (2004). Towards industrial strength automated design of analog electrical circuits by means of genetic programming. In O’Reilly, Una-May, Yu, Tina, Riolo, Rick L., and Worzel, Bill, editors, Genetic Programming Theory and Practice II, chapter 8, pages 120-?? Springer, Ann Arbor. pages missing ?

    Google Scholar 

  • Koza, John R., Keane, Martin A., Streeter, Matthew J., Mydlowec, William, Yu, Jessen, and Lanza, Guido (2003a). Genetic Programming IV: Routine Human-Competitive Machine Intelligence. Kluwer Academic Publishers.

    Google Scholar 

  • Koza, John R., Streeter, Matthew J., and Keane, Martin A. (2003b). Automated synthesis by means of genetic programming of complex structures incorporating reuse, hierarchies, development, and parameterized toplogies. In Riolo, Rick L. and Worzel, Bill, editors, Genetic Programming Theory and Practise, chapter 14, pages 221-237. Kluwer.

    Google Scholar 

  • Kruiskamp, Wim and Leenaerts, Domine (1995). Darwin: Cmos opamp synthesis by means of a genetic algorithm. In Design Automation Conference.

    Google Scholar 

  • Kundert, K. and Zinke, O. (2004). The Designer’s Guide to Verilog-AMS. Kluwer.

    Google Scholar 

  • Lai, X. and Roychowdhury, Jaijeet (2006). Macromodeling oscillators using krylov-subspace methods. In Asia And South Pacific Design Automation Conference.

    Google Scholar 

  • Lohn, Jason, Hornby, Gregory, and Linden, Derek 2004. Evolutionary antenna design for a NASA spacecraft. In O’Reilly, Una-May, Yu, Tina, Riolo, Rick L., and Worzel, Bill, editors, Genetic Programming Theory and Practice II, chapter 18, pages 301-315. Springer, Ann Arbor.

    Google Scholar 

  • Lohn, Jason D. and Colombano, S.P. (1998). Automated analog circuit synthesis using a linear representation. In International Conference on Evolvable Systems, pages 125-133.

    Google Scholar 

  • Martens, Ewout and Gielen, Georges G.E. (2006). Top-down heterogeneous synthesis of analog and mixed-signal systems. In Design Automation and Test Europe, pages 275-280.

    Google Scholar 

  • Maulik, Peter C., Carley, L.R., and Rutenbar, R. (1995). Integer programming based topology selection of cell level analog circuits. IEEE Transactions on Computer-Aided Design, 14(4).

    Google Scholar 

  • McConaghy, Trent, Eeckelaert, Tom, and Gielen, Georges (2005). CAFFEINE: Template-free symbolic model generation of analog circuits via canonical form functions and genetic programming. In Proceedings of the Design Automation and Test Europe (DATE) Conference, volume 2, pages 1082-1087, Munich.

    Google Scholar 

  • McConaghy, Trent and Gielen, Georges 2005. Genetic programming in industrial analog CAD: Applications and challenges. In Yu, Tina, Riolo, Rick L., and Worzel, Bill, editors, Genetic Programming Theory and Practice III, volume 9 of Genetic Programming, chapter 19, pages 291-306. Springer, Ann Arbor.

    Google Scholar 

  • McConaghy, Trent, Palmers, Pieter, Gielen, Georges G.E., and Steyaert, Michiel (2007). Simultaneous multi-topology multi-objective sizing across thousands of analog circuit topologies. In Design Automation Conference.

    Google Scholar 

  • Ning, Z., Mouthaan, A.J., and Wallinga, H. (1991). Seas: A simulated evolution approach for analog circuit synthesis. In Custom Integrated Circuits Conference.

    Google Scholar 

  • Nordin, Peter (1994). A compiling genetic programming system that directly manipulates the machine code. In Kinnear, Jr., Kenneth E., editor, Advances in Genetic Programming, chapter 14, pages 311-331. MIT Press.

    Google Scholar 

  • Phillips, Joel R. (1998). Model reduction of time-varying linear systems using approximate multipoint krylov-subspace projectors. In International Conference on Computer-Aided Design, pages 96-102.

    Google Scholar 

  • Poli, Riccardo and Langdon, William B. 1999. Sub-machine-code genetic programming. In Spector, Lee, Langdon, William B., O’Reilly, Una-May, and Angeline, Peter J., editors, Advances in Genetic Programming 3, chapter 13, pages 301-323. MIT Press, Cambridge, MA, USA.

    Google Scholar 

  • Razavi, Behzad (2000). Design of Analog CMOS Integrated Circuits. McGrawHill.

    Google Scholar 

  • Ressler, Andrew L. 1984. A Circuit Grammar for Operational Amplifier Design. PhD thesis, Massachusetts Institute of Technology, Cambridge, MA, USA.

    Google Scholar 

  • Rothlauf, Franz (2006). Representations for genetic and evolutionary algorithms. Springer-Verlag, pub-SV:adr, second edition. First published 2002, 2nd edition available electronically.

    Google Scholar 

  • Rutenbar, R.A., Gielen, Georges G.E., and Antao, B.A. 2002. Computer-Aided Design of Analog Integrated Circuits and Systems. IEEE Press, Piscataway, NJ, USA.

    Google Scholar 

  • Sansen, Willy (2006). Analog Design Essentials. Springer.

    Google Scholar 

  • Shibata, Hajime, Mori, Soji, and Fujii, Nobuo (2002). Automated design of analog circuits using cell-based structure. In Nasa/DoD Conference on Evolvable Hardware.

    Google Scholar 

  • Spector, Lee 2004. Automatic Quantum Computer Programming: A Genetic Programming Approach, volume 7 of Genetic Programming. Kluwer Academic Publishers, Boston/Dordrecht/New York/London.

    Google Scholar 

  • Sripramong, Thanwa and Toumazou, Christofer 2002. The invention of CMOS amplifiers using genetic programming and current-flow analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 21(11):1237-1252.

    Article  Google Scholar 

  • Swings, K., Donnay, S., and Sansen, W. (1991). Hector: a hierarchical topologyconstruction program for analog circuits based on a declarative approach to circuit modeling. In Custom Integrated Circuits Conference.

    Google Scholar 

  • Synopsys (2007). Circuit explorer product. Website of Synopsys Inc.

    Google Scholar 

  • Tanaka, T. 1993. Parsing electronic circuits in a logic grammar. IEEE Transactions Knowledge and Data Engineering, 5(2):225-239.

    Article  Google Scholar 

  • Tang, H. and Doboli, A. 2006. High-level synthesis of delta-sigma modulator topologies optimized for complexity, sensitivity, and power consumption. IEEE Transactions on Computer-Aided Design, 25(3):597-607.

    Article  Google Scholar 

  • Toumazou, Chris, Makris, C.A., and Berrah, C.M. 1990. Isaid - a methodology for automated analog ic design. In International Symposium on Circuits and Systems, volume 1, pages 531-555.

    Article  Google Scholar 

  • Vassilev, Vesselin K. and Miller, Julian F. (2000). The advantages of landscape neutrality in digital circuit evolution. In Proceedings of the Third International Conference on Evolvable Systems, pages 252-263. Springer-Verlag.

    Google Scholar 

  • Whigham, P. A. 1995. Grammatically-based genetic programming. In Rosca, Justinian P., editor, Proceedings of the Workshop on Genetic Programming: From Theory to Real-World Applications, pages 33-41, Tahoe City, California, USA.

    Google Scholar 

  • Yao, Xin, Liu, Yong, and Lin, Guangming (1999). Evolutionary programming made faster. IEEE Transactions on Evolutionary Computation, 3(2).

    Google Scholar 

  • Yu, Tina, Wilkinson, Dave, and Castellini, Alexandre 2006. Applying genetic programming to reservoir history matching problem. In Riolo, Rick L., Soule, Terence, and Worzel, Bill, editors, Genetic Programming Theory and Practice IV, volume 5 of Genetic and Evolutionary Computation, chapter 6. Springer, Ann Arbor.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

McConaghy, T., Palmers, P., Gielen, G., Steyaert, M. (2008). Genetic Programmingwith Reuse of Known Designs for Industrially Scalable, Novel Circuit Design. In: Riolo, R., Soule, T., Worzel, B. (eds) Genetic Programming Theory and Practice V. Genetic and Evolutionary Computation Series. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-76308-8_10

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-76308-8_10

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-76307-1

  • Online ISBN: 978-0-387-76308-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics